Search Results - "TOKEI, Z"
-
1
Damage free integration of ultralow-k dielectrics by template replacement approach
Published in Applied physics letters (31-08-2015)“…Cu/low-k integration by conventional damascene approach is becoming increasingly difficult as critical dimensions scale down. An alternative integration scheme…”
Get full text
Journal Article -
2
Integration of a Stacked Contact MOL for Monolithic CFET
Published in 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits) (11-06-2023)“…Complementary FET (CFET) is a device architecture where n-and p-MOS transistors are stacked. As a result, the source and drain contact metals also need to be…”
Get full text
Conference Proceeding -
3
Chip-Package Interaction in 3D stacked IC packages using Finite Element Modelling
Published in Microelectronics and reliability (01-06-2014)“…•Analytical modelling, FEM simulations and 4 point bending for BEOL strength analysis.•Reduced low-k stiffness results in exponential growth of stress in Cu…”
Get full text
Journal Article Conference Proceeding -
4
Future Logic Scaling: Towards Atomic Channels and Deconstructed Chips
Published in 2020 IEEE International Electron Devices Meeting (IEDM) (12-12-2020)“…With each new node, cost and complexity of logic technology increases while being challenged to provide the historical expected improvement in performance…”
Get full text
Conference Proceeding -
5
Selective self-assembled monolayer coating to enable Cu-to-Cu connection in dual damascene vias
Published in Microelectronic engineering (01-06-2013)“…In order to enable an oxide-free Cu-to-Cu bonding in a (dual) damascene process, 3-aminopropyltrimethoxysilane- and decanethiol-derived self-assembled…”
Get full text
Journal Article Conference Proceeding -
6
Holisitic device exploration for 7nm node
Published in 2015 IEEE Custom Integrated Circuits Conference (CICC) (01-09-2015)“…In this paper, we review the conditions at which FinFETs could meet system requirements at the 7nm node. We explore the key enablers to meet the power…”
Get full text
Conference Proceeding -
7
Vertical device architecture for 5nm and beyond: Device & circuit implications
Published in 2015 Symposium on VLSI Technology (VLSI Technology) (01-06-2015)“…Vertical nanowire logic circuits may enable device density scaling well beyond lateral CMOS layouts limited by gate and contact placement. In this paper, we…”
Get full text
Conference Proceeding Journal Article -
8
Directional Etching of Barrierless NiAl Lines on 300-mm Wafers for Interconnects Applications
Published in IEEE electron device letters (01-10-2024)“…This letter demonstrates the functionality of barrier-less 20nm NiAl for 100nm metal pitch interconnects fabricated on 300mm full wafers. We have developed an…”
Get full text
Journal Article -
9
Materials characterization of WNxCy, WNx and WCx films for advanced barriers
Published in Microelectronic engineering (01-11-2007)“…A ternary WNxCy system was deposited in a thermal ALD (atomic layer deposition) reactor from ASM at 300 deg C in a process sequence using tungsten hexafluoride…”
Get full text
Journal Article -
10
Electrical performance, reliability and microstructure of sub-45 nm copper damascene lines fabricated with TEOS backfill
Published in Microelectronic engineering (01-11-2007)“…The fabrication of narrow Cu trenches using a conformal TEOS backfill approach is shown. Cu trenches with widths down to 30–40 nm were achieved. With an…”
Get full text
Journal Article Conference Proceeding -
11
3D Stacked Devices and MOL Innovations for Post-Nanosheet CMOS Scaling
Published in 2023 International Electron Devices Meeting (IEDM) (09-12-2023)“…3D stacked devices without area penalty from device-device space, such as complementary FET (CFET), is promising for post-nanosheet CMOS scaling. New MOL…”
Get full text
Conference Proceeding -
12
Electrical performance, reliability and microstructure of sub-45nm copper damascene lines fabricated with TEOS backfill
Published in Microelectronic engineering (01-11-2007)“…The fabrication of narrow Cu trenches using a conformal TEOS backfill approach is shown. Cu trenches with widths down to 30-40nm were achieved. With an…”
Get full text
Journal Article -
13
Selective ALD Mo Deposition in 10nm Contacts
Published in 2023 IEEE International Interconnect Technology Conference (IITC) and IEEE Materials for Advanced Metallization Conference (MAM)(IITC/MAM) (01-05-2023)“…Selective atomic layer deposition (ALD) of Mo is explored to fill 10nm contacts in a 2 nm node test vehicle. The ALD Mo deposition is highly selective towards…”
Get full text
Conference Proceeding -
14
Improving uniformity of 3-level High Aspect Ratio Supervias
Published in 2023 IEEE International Interconnect Technology Conference (IITC) and IEEE Materials for Advanced Metallization Conference (MAM)(IITC/MAM) (01-05-2023)“…High aspect-ratio (AR) 3-level Supervias (SV), with a minimum bottom CD of 12.1 nm, AR = 9.4 and with > 93 % electrically active SV are successfully integrated…”
Get full text
Conference Proceeding -
15
Integrating 8nm Self-Aligned Tip-to-Tip to Enable 4-track Standard Cell Architecture as Scaling Booster
Published in 2023 IEEE International Interconnect Technology Conference (IITC) and IEEE Materials for Advanced Metallization Conference (MAM)(IITC/MAM) (01-05-2023)“…As further scaling of standard cells (SC) continues, new innovative techniques are required to the keep pursuing Moore's law. Middle-of-line (MOL) scaling…”
Get full text
Conference Proceeding -
16
On a More Accurate Assessment of Scaled Copper/Low-k Interconnects Performance
Published in IEEE transactions on semiconductor manufacturing (01-08-2007)“…Interconnect RC delay, predominantly affected by the effective dielectric constant (k-value) and by the copper resistivity (rho Cu ), is an important…”
Get full text
Journal Article -
17
Electromigration and stress-induced-voiding in dual damascene Cu/low-k interconnects: a complex balance between vacancy and stress gradients
Published in 2010 IEEE International Reliability Physics Symposium (01-05-2010)“…The influence of residual vacancy concentrations and stress gradients on electromigration both in the metal layer below and above copper via's with a diameter…”
Get full text
Conference Proceeding -
18
Enabling 3-level High Aspect Ratio Supervias for 3nm nodes and below
Published in 2022 IEEE International Interconnect Technology Conference (IITC) (27-06-2022)“…High aspect-ratio (AR) 3-level Supervias (SV), with a minimum bottom CD of 15.5 nm and AR = 7.7 are successfully integrated in a 3nm node chip. 3-level SV…”
Get full text
Conference Proceeding -
19
Buried Power Rail Scaling and Metal Assessment for the 3 nm Node and Beyond
Published in 2020 IEEE International Electron Devices Meeting (IEDM) (12-12-2020)“…This paper reports BPR/Via-to-BPR (VBPR) module development at 24nm fin pitch (FP) / 42nm contacted gate pitch (CPP), and W and Ru-BPR and Ru-…”
Get full text
Conference Proceeding -
20
Volume diffusion of iron in Fe3Al: Influence of ordering
Published in Acta materialia (01-02-1997)“…The lattice self diffusion coefficient of 59Fe in Fe3Al has been determined in the temperature range 847–1503 K using the classical radio-tracer method. Below…”
Get full text
Journal Article