Search Results - "Seto, Kenshu"
-
1
Scalar replacement in the presence of multiple write accesses for high-level synthesis
Published in 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE) (01-02-2021)“…High-level synthesis (HLS) reduces design time of domain-specific accelerators from loop nests. Usually, naive usage of HLS leads to accelerators with…”
Get full text
Conference Proceeding -
2
Protocol Transducer Synthesis using Divide and Conquer approach
Published in 2007 Asia and South Pacific Design Automation Conference (01-01-2007)“…One of the efficient design methodologies for large scale system on a chip (SoC) is IP-based design. In this methodology, a system is considered as a set of…”
Get full text
Conference Proceeding -
3
Small Memory Footprint Neural Network Accelerators
Published in 20th International Symposium on Quality Electronic Design (ISQED) (01-03-2019)“…Deep Neural Network (DNN) accelerators provide high-accuracy data recognition that are commonly used in edge devices. However, resource constrained edge…”
Get full text
Conference Proceeding -
4
Custom Instruction Generation with High-Level Synthesis
Published in 2008 Symposium on Application Specific Processors (01-06-2008)“…This paper presents a novel approach for automatic custom instruction set generation with high-level synthesis techniques. Unlike previous approaches which…”
Get full text
Conference Proceeding -
5
SAT-based resource binding for reducing critical path delays
Published in 2008 International Conference on Field Programmable Logic and Applications (01-09-2008)“…In this paper, a new function unit binding approach based on SAT is proposed. Differently from previous approaches, which heuristically minimize the total…”
Get full text
Conference Proceeding -
6
Dynamically reconfigurable protocol transducer
Published in 2006 IEEE International Conference on Field Programmable Technology (01-12-2006)“…Protocol transducer synthesis is one of the most significant issues for efficient IP core reuse in SoC design. The authors proposed automatic protocol…”
Get full text
Conference Proceeding -
7
Pipeline scheduling for array based reconfigurable architectures considering interconnect delays
Published in Proceedings. 2005 IEEE International Conference on Field-Programmable Technology, 2005 (2005)“…Pipelining is a powerful technique to achieve high performance design. Nowadays, in deep-submicron (DSM) process era, interconnect delay is becoming so…”
Get full text
Conference Proceeding -
8
Field modifiable architecture with FPGAs and its design methodology
Published in 2002 IEEE International Conference on Field-Programmable Technology, 2002. (FPT). Proceedings (2002)“…In the age of highly integrated system LSIs, the problem of design methodologies with short time-to-market and higher re-programmability after the chip…”
Get full text
Conference Proceeding