Search Results - "Scheuermann, Michael"
-
1
A 7-nm Four-Core Mixed-Precision AI Chip With 26.2-TFLOPS Hybrid-FP8 Training, 104.9-TOPS INT4 Inference, and Workload-Aware Throttling
Published in IEEE journal of solid-state circuits (01-01-2022)“…Reduced precision computation is a key enabling factor for energy-efficient acceleration of deep learning (DL) applications. This article presents a 7-nm…”
Get full text
Journal Article -
2
Redox-Responsive Protein Design: Design of a Small Protein Motif Dependent on Glutathionylation
Published in Biochemistry (Easton) (26-12-2018)“…Cysteine S-glutathionylation is a protein post-translational modification that promotes cellular responses to changes in oxidative conditions. The design of…”
Get full text
Journal Article -
3
Arginine Mimetics Using α-Guanidino Acids: Introduction of Functional Groups and Stereochemistry Adjacent to Recognition Guanidiniums in Peptides
Published in Chembiochem : a European journal of chemical biology (23-01-2012)“…Arginine residues are broadly employed for specific biomolecular recognition, including in protein–protein, protein–DNA, and protein–RNA interactions. Arginine…”
Get full text
Journal Article -
4
Analysis and Modeling of DC Current Crowding for TSV-Based 3-D Connections and Power Integrity
Published in IEEE transactions on components, packaging, and manufacturing technology (2011) (01-01-2014)“…3-D integration using through-silicon-vias (TSVs) is emerging as one of the key technology options for continued miniaturization. However, because of increased…”
Get full text
Journal Article -
5
A 3D system prototype of an eDRAM cache stacked over processor-like logic using through-silicon vias
Published in 2012 IEEE International Solid-State Circuits Conference (01-02-2012)“…3D integration (3DI) holds promise for improved performance of integrated systems by increasing interconnect bandwidth [1]. A processor stacked with cache…”
Get full text
Conference Proceeding -
6
Efficient AI System Design With Cross-Layer Approximate Computing
Published in Proceedings of the IEEE (01-12-2020)“…Advances in deep neural networks (DNNs) and the availability of massive real-world data have enabled superhuman levels of accuracy on many AI tasks and ushered…”
Get full text
Journal Article -
7
RaPiD: AI Accelerator for Ultra-low Precision Training and Inference
Published in 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA) (01-06-2021)“…The growing prevalence and computational demands of Artificial Intelligence (AI) workloads has led to widespread use of hardware accelerators in their…”
Get full text
Conference Proceeding -
8
Arginine Mimetics via α-Guanidino Acids: Introduction of Functional Groups and Stereochemistry Adjacent to Recognition Guanidiniums in Peptides
Published in Chembiochem : a European journal of chemical biology (23-12-2011)“…Arginine residues are broadly employed for specific biomolecular recognition, including in protein-protein, protein-DNA, and protein-RNA interactions. Arginine…”
Get full text
Journal Article -
9
A Scalable Multi-TeraOPS Core for AI Training and Inference
Published in IEEE solid-state circuits letters (01-12-2018)“…This letter presents a multi-TOPS AI accelerator core for deep learning training and inference. With a programmable architecture and custom ISA, this engine…”
Get full text
Journal Article -
10
9.1 A 7nm 4-Core AI Chip with 25.6TFLOPS Hybrid FP8 Training, 102.4TOPS INT4 Inference and Workload-Aware Throttling
Published in 2021 IEEE International Solid- State Circuits Conference (ISSCC) (13-02-2021)“…Low-precision computation is the key enabling factor to achieve high compute densities (TOPS/W and TOPS/mm 2 ) in AI hardware accelerators across cloud and…”
Get full text
Conference Proceeding -
11
A Scalable Multi- TeraOPS Deep Learning Processor Core for AI Trainina and Inference
Published in 2018 IEEE Symposium on VLSI Circuits (01-06-2018)“…A multi-TOPS AI core is presented for acceleration of deep learning training and inference in systems from edge devices to data centers. With a programmable…”
Get full text
Conference Proceeding -
12
Analysis of DC current crowding in through-silicon-vias and its impact on power integrity in 3D ICs
Published in DAC Design Automation Conference 2012 (03-06-2012)“…Due to the large geometry of through-silicon-vias (TSVs) and their connections to the power grid, significant current crowding can occur in 3D ICs. Prior works…”
Get full text
Conference Proceeding -
13
Anomalous voltage overshoot during turn-off of thin-film n-channel SOI MOSFETs
Published in IEEE electron device letters (01-04-1993)“…An anomalous output voltage overshoot observed during the turn-off of single short-channel thin-film silicon-on-insulator SOI n-MOSFETs is reported. The…”
Get full text
Journal Article -
14
-
15
Design and Modeling Methodology of Vertical Interconnects for 3DI Applications
Published in IEEE transactions on components, packaging, and manufacturing technology (2011) (01-02-2011)“…This paper presents a design and modeling methodology of vertical interconnects for three-dimensional integration (3DI) applications. Compact semi-analytical…”
Get full text
Journal Article -
16
A 3.0 TFLOPS 0.62V Scalable Processor Core for High Compute Utilization AI Training and Inference
Published in 2020 IEEE Symposium on VLSI Circuits (01-06-2020)“…A processor core is presented for AI training and inference products. Leading-edge compute efficiency is achieved for robust fp16 training via efficient…”
Get full text
Conference Proceeding -
17
Decoupling capacitor modeling and characterization for power supply noise in 3D systems
Published in 2012 SEMI Advanced Semiconductor Manufacturing Conference (01-05-2012)“…Decoupling capacitors are essential to reduce high transient current noise and to provide a low impedance power delivery path. 3D technology has several…”
Get full text
Conference Proceeding -
18
Design, CAD and technology challenges for future processors: 3D perspectives
Published in 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC) (05-06-2011)“…Technology scaling has provided the semiconductor industry a recipe to successfully meet the application demands for performance for over three decades. This…”
Get full text
Conference Proceeding -
19
Physical design of a fourth-generation POWER GHz microprocessor
Published in 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177) (2001)“…The fourth-generation POWER processor chip contains 170M transistors and includes 2 microprocessor cores, shared L2, directory for an off-chip L3, and all…”
Get full text
Conference Proceeding Journal Article -
20
Transient modeling of TSV-wire electromigration and lifetime analysis of power distribution network for 3D ICs
Published in 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (01-11-2013)“…In this paper, we present a transient modeling of electromigration (EM) in TSV and TSV-to-wire interfaces in the power delivery network (PDN) of 3D ICs. In…”
Get full text
Conference Proceeding