Search Results - "Pilo, Harold"
-
1
A 450ps Access-Time SRAM Macro in 45nm SOI Featuring a Two-Stage Sensing-Scheme and Dynamic Power Management
Published in 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers (01-02-2008)“…A 450 ps access-time 512 Kb SRAM macro is fabricated in a 45 nm SOI technology. The macro is adapted for use as the principal growable embedded-SRAM block in a…”
Get full text
Conference Proceeding -
2
A 64 Mb SRAM in 32 nm High-k Metal-Gate SOI Technology With 0.7 V Operation Enabled by Stability, Write-Ability and Read-Ability Enhancements
Published in IEEE journal of solid-state circuits (01-01-2012)“…A 64 Mb SRAM macro has been fabricated in a 32 nm high-k metal-gate SOI technology. The SRAM features a 0.154 μm 2 bit-cell, the smallest to date for a 32 nm…”
Get full text
Journal Article Conference Proceeding -
3
Impact of circuit assist methods on margin and performance in 6T SRAM
Published in Solid-state electronics (01-11-2010)“…Large scale 6T SRAM beyond 65 nm will increasingly rely on assist methods to overcome the functional limitations associated with scaling and the inherent read…”
Get full text
Journal Article -
4
An SRAM Design in 65-nm Technology Node Featuring Read and Write-Assist Circuits to Expand Operating Voltage
Published in IEEE journal of solid-state circuits (01-04-2007)“…This paper describes a 32-Mb SRAM that has been designed and fabricated in a 65-nm low-power CMOS Technology. The 62-mm 2 die features read-assist and…”
Get full text
Journal Article Conference Proceeding -
5
Session 19 overview: High-performance embedded memory
Published in 2010 IEEE International Solid-State Circuits Conference - (ISSCC) (01-02-2010)“…Among all integrated circuits, embedded memory has truly become pervasive and plays an essential role in all of today's VLSI applications such as…”
Get full text
Conference Proceeding -
6
A 64Mb SRAM in 32nm High-k metal-gate SOI technology with 0.7V operation enabled by stability, write-ability and read-ability enhancements
Published in 2011 IEEE International Solid-State Circuits Conference (01-02-2011)“…A 64Mb SRAM macro is fabricated in a 32nm high-k metal-gate (HKMG) SOI technology (Greene et al., 2009). Figure 14.1.1 shows the 0.154μm 2 bitcell (BC). A 2x…”
Get full text
Conference Proceeding -
7
A 64Mb SRAM in 22nm SOI technology featuring fine-granularity power gating and low-energy power-supply-partition techniques for 37% leakage reduction
Published in 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers (01-02-2013)“…A 64Mb SRAM is fabricated in a 22nm high-performance SOI technology [1]. The ever-increasing integration needs of complex SoC are driving the reduction of SRAM…”
Get full text
Conference Proceeding -
8
An 8 Mb SRAM in 45 nm SOI Featuring a Two-Stage Sensing Scheme and Dynamic Power Management
Published in IEEE journal of solid-state circuits (01-01-2009)“…This paper describes an 8 Mb SRAM test chip that has been designed and fabricated in a 45 nm Silicon-On-Insulator (SOI) CMOS technology. The test chip…”
Get full text
Journal Article Conference Proceeding -
9
A 5.6-ns random cycle 144-Mb DRAM with 1.4 Gb/s/pin and DDR3-SRAM interface
Published in IEEE journal of solid-state circuits (01-11-2003)“…This paper describes a 144-Mb DRAM that operates at a random cycle of 5.6 ns and is capable of producing data rates of 1.4 Gb/s/pin. The 121-mm/sup 2/ die is…”
Get full text
Journal Article -
10
A 550ps Access-Time Compilable SRAM in 65nm CMOS Technology
Published in 2007 IEEE Custom Integrated Circuits Conference (01-09-2007)“…A fixed-configuration custom SRAM macro with a highly-scalable architecture was used as the basis for an ASIC SRAM compiler. The 256 Kb fixed-configuration…”
Get full text
Conference Proceeding -
11
Memory design considerations for high-performance networking SoCs
Published in 2013 International SoC Design Conference (ISOCC) (01-11-2013)“…On chip memory in today's networking SoCs takes up >50% of total area and consumes >40% of total power. As demand for high-performance networks grows, so will…”
Get full text
Conference Proceeding -
12
An 833-MHz 1.5-W 18-Mb CMOS SRAM with 1.67 Gb/s/pin
Published in IEEE journal of solid-state circuits (01-11-2000)“…This paper describes an 833-MHz 18-Mb CMOS SRAM with a 1.67-Gb/s/pin data rate. Issues that had to be overcome from previous-generation SRAMs to meet the…”
Get full text
Journal Article -
13
Design-for-test methods for stand-alone SRAMS at 1Gb/s/pin and beyond
Published in Proceedings - International Test Conference (01-01-2000)“…Design-for-test techniques for wafer test, component test and system-level diagnostics are implemented on stand-alone SRAMs at 1Gb/s/pin. These design-for-test…”
Get full text
Journal Article -
14
An SRAM Design in 65nm and 45nm Technology Nodes Featuring Read and Write-Assist Circuits to Expand Operating Voltage
Published in 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers (2006)“…This paper describes a 32Mb SRAM that has been designed and fabricated in a 65nm low-power CMOS technology. The design has also been migrated to 45nm bulk and…”
Get full text
Conference Proceeding -
15
Bitline contacts in high density SRAMs: design for testability and stressability
Published in Proceedings International Test Conference 2001 (Cat. No.01CH37260) (2001)“…Process scaling and the need for smaller SRAM cells challenges process technologies to make millions of robust and reliable bitline contacts on a single chip…”
Get full text
Conference Proceeding -
16
A 0.9ns random cycle 36Mb network SRAM with 33mW standby power
Published 2003Get full text
Conference Proceeding