Search Results - "Makosiej, Adam"
-
1
A Complete Characterization and Modeling of the BTI-Induced Dynamic Variability of SRAM Arrays in 28-nm FD-SOI Technology
Published in IEEE transactions on electron devices (01-12-2014)“…In this paper, we present for the first time a direct measurement procedure to characterize the bias temperature instability (BTI)-induced dynamic variability…”
Get full text
Journal Article -
2
A 50.5 ns Wake-Up-Latency 11.2 pJ/Inst Asynchronous Wake-Up Controller in FDSOI 28 nm
Published in Journal of low power electronics and applications (14-02-2019)“…Due to low activity in Internet of Things (IoT) applications, systems tend to leverage low power modes in order to reduce their power consumption. Normally-off…”
Get full text
Journal Article -
3
Tunnel FET Negative-Differential-Resistance Based 1T1C Refresh-Free-DRAM, 2T1C SRAM and 3T1C CAM
Published in IEEE transactions on nanotechnology (2021)“…A refresh free and scalable ultimate DRAM (uDRAM) with 1T1C bitcell is introduced in this paper. The memory uses the Negative Differential Resistance (NDR)…”
Get full text
Journal Article -
4
SamurAI: A Versatile IoT Node With Event-Driven Wake-Up and Embedded ML Acceleration
Published in IEEE journal of solid-state circuits (01-06-2023)“…Increased capabilities, such as recognition and self-adaptability, are now required from Internet-of-Things (IoT) applications. While IoT node power…”
Get full text
Journal Article -
5
CMOS Sensor Nodes With Sub-Picowatt TFET Memory
Published in IEEE sensors journal (01-12-2016)“…This paper describes the applicability of tunnel FETs (TFET) to ultra-low-power sensor-node embedded static random-access memories (SRAMs). Numerical TCAD…”
Get full text
Journal Article -
6
Energy Efficient Comparator-Less Current-Mode TFET-CMOS Co-Integrated Scalable Flash ADC
Published in 2021 IEEE International Midwest Symposium on Circuits and Systems (MWSCAS) (09-08-2021)“…This paper presents a novel TFET-CMOS co-integrated comparator-less, energy-efficient ADC architecture. The design utilizes the Negative Differential…”
Get full text
Conference Proceeding -
7
3T-TFET bitcell based TFET-CMOS hybrid SRAM design for Ultra-Low Power applications
Published in 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE) (01-03-2016)“…This paper presents a TFET/CMOS hybrid SRAM architecture designed to address the requirements for ULP (Ultra-Low Power) applications, like IoT (Internet of…”
Get full text
Conference Proceeding Journal Article -
8
Tunnel FET based refresh-free-DRAM
Published in Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017 (01-03-2017)“…A refresh free and scalable ultimate DRAM (uDRAM) bitcell and architecture is proposed for embedded application. uDRAM 1T1C bitcell is designed using access…”
Get full text
Conference Proceeding -
9
16Kb hybrid TFET/CMOS reconfigurable CAM/SRAM array based on 9T-TFET bitcell
Published in 2016 46th European Solid-State Device Research Conference (ESSDERC) (01-09-2016)“…This paper presents for the first time a TFET/CMOS hybrid CAM architecture designed to address the requirements for ULP (Ultra-Low Power) applications like the…”
Get full text
Conference Proceeding -
10
Operation and stability analysis of bipolar OxRRAM-based Non-Volatile 8T2R SRAM as solution for information back-up
Published in Solid-state electronics (01-12-2013)“…► We designed an NVSRAM cell with 22 nm FDSOI PDK for CMOS and HfO2 OxRRAMs. ► We showed that our NVSRAM cell is operational at high speed (20ns) and low…”
Get full text
Journal Article Conference Proceeding -
11
Mixed-single well 8T SRAM bitcell for wide voltage range in 28nm FDSOI
Published in 2014 SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S) (01-10-2014)“…Enabling high speed SRAM operation at low voltage is typically limited by variability and low device drivability. Most of the reported low-voltage SRAM…”
Get full text
Conference Proceeding -
12
1.45-fJ/bit Access Two-Port SRAM Interfacing a Synchronous/Asynchronous IoT Platform for Energy-Efficient Normally Off Applications
Published in IEEE solid-state circuits letters (01-09-2018)“…This letter presents a single-rail two-port static random-access memory (SRAM) designed in 28-nm FD-SOI technology specifically for a synchronous/asynchronous…”
Get full text
Journal Article -
13
CMOS SRAM scaling limits under optimum stability constraints
Published in 2013 IEEE International Symposium on Circuits and Systems (ISCAS) (01-05-2013)“…This paper presents a predictive analysis of the high-density SRAM cell scaling from the stability and low power perspective. Based on a subthreshold SRAM…”
Get full text
Conference Proceeding -
14
SRAM row decoder design for wide voltage range in 28nm UTBB-FDSOI
Published in 2013 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S) (01-10-2013)“…This paper focuses on the design of SRAM row decoder for modern portable devices, in 28nm Ultra-Thin Body and Buried oxide (UTBB) Fully-Depleted SOI (FDSOI)…”
Get full text
Conference Proceeding -
15
Stability and yield-oriented ultra-low-power embedded 6T SRAM cell design optimization
Published in Proceedings of the Conference on Design, Automation and Test in Europe (12-03-2012)“…This paper presents a methodology for the optimal design of CMOS 6T SRAM ultra-low-power (ULP) bitcells minimizing power consumption under strict stability…”
Get full text
Conference Proceeding -
16
Ultra-low leakage sub-32nm TFET/CMOS hybrid 32kb pseudo DualPort scratchpad with GHz speed for embedded applications
Published in 2015 IEEE International Symposium on Circuits and Systems (ISCAS) (01-05-2015)“…In this paper, an ultra-low-leakage TFET/CMOS hybrid Dual-Port SRAM (DPSRAM) based scratchpad memory is proposed. DPSRAM cells are designed using TFETs to…”
Get full text
Conference Proceeding -
17
Stability and yield-oriented ultra-low-power embedded 6T SRAM cell design optimization
Published in 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE) (01-03-2012)“…This paper presents a methodology for the optimal design of CMOS 6T SRAM ultra-low-power (ULP) bitcells minimizing power consumption under strict stability…”
Get full text
Conference Proceeding -
18
A 32nm tunnel FET SRAM for ultra low leakage
Published in 2012 IEEE International Symposium on Circuits and Systems (ISCAS) (01-05-2012)“…This paper describes the applicability of Tunnel FETs to commercial embedded Static Random-Access Memories (SRAM). Numerical device simulations were used first…”
Get full text
Conference Proceeding -
19
SamurAI: A 1.7MOPS-36GOPS Adaptive Versatile IoT Node with 15,000× Peak-to-Idle Power Reduction, 207ns Wake-Up Time and 1.3TOPS/W ML Efficiency
Published in 2020 IEEE Symposium on VLSI Circuits (01-06-2020)“…IoT node application requirements are torn between sporadic data-logging and energy-hungry data processing (e.g. image classification). This paper presents a…”
Get full text
Conference Proceeding -
20
Double-Gate Sub-32nm CMOS SRAM current and voltage sense amplifiers, insensitive to process variations and transistor mismatch
Published in 2008 IEEE International SOI Conference (01-10-2008)“…This paper describes two novel sub-32 nm current (CSA) and voltage (VSA) sense amplifiers in fully depleted (FD) double-gate (DG) silicon-on-insulator (SOI)…”
Get full text
Conference Proceeding