Search Results - "Hsieh, Kenny"
-
1
A 0.5-16.3 Gb/s Fully Adaptive Flexible-Reach Transceiver for FPGA in 20 nm CMOS
Published in IEEE journal of solid-state circuits (01-08-2015)“…This paper describes a 0.5-16.3 Gb/s fully adaptive wireline transceiver embedded in 20 nm CMOS FPGA. The receiver utilizes bandwidth adjustable CTLE and…”
Get full text
Journal Article -
2
A Hybrid-PLL (ADPLL/Charge-Pump PLL) Using Phase Realignment With 0.6-us Settling, 0.619-ps Integrated Jitter, and −240.5-dB FoM in 7-nm FinFET
Published in IEEE solid-state circuits letters (2020)“…All-digital PLLs (ADPLLs) based on a ring-oscillator (RO) provide very fast settling, but they suffer from quantization noise due to discrete tuning of their…”
Get full text
Journal Article -
3
A Low-Power 0.5-6.6 Gb/s Wireline Transceiver Embedded in Low-Cost 28 nm FPGAs
Published in IEEE journal of solid-state circuits (01-11-2013)“…This paper describes the design of a 0.5-6.6 Gb/s fully-adaptive low-power quad transceiver embedded in low-leakage 28 nm CMOS FPGAs. Integration techniques…”
Get full text
Journal Article Conference Proceeding -
4
3.8 A 0.65V 900µm² BEoL RC-Based Temperature Sensor with ±1°C Inaccuracy from −25°C to 125°C
Published in 2024 IEEE International Solid-State Circuits Conference (ISSCC) (18-02-2024)“…To maintain high-performance computing capacity and prevent chip overheating, it is essential to minimize the gap between on-die thermal measurements and the…”
Get full text
Conference Proceeding -
5
A 7-nm 4-GHz Arm¹-Core-Based CoWoS¹ Chiplet Design for High-Performance Computing
Published in IEEE journal of solid-state circuits (01-04-2020)“…We present a dual-chiplet interposer-based system-in-package (SiP) octo-core processor using Chip-on-Wafer-on-Substrate (CoWoS) technology. Each of the two…”
Get full text
Journal Article -
6
Embedded PLL Phase Noise Measurement Based on a PFD/CP MASH 1-1-1 ΔΣ Time-to-Digital Converter in 7nm CMOS
Published in 2020 IEEE Symposium on VLSI Circuits (01-06-2020)“…We propose an embedded PLL phase noise measurement macro for cost-effective SoC test based on a phase-frequency detector/charge pump (PFD/CP) MASH 1-1-1 ΔΣ…”
Get full text
Conference Proceeding -
7
A 56Gb/s PAM-4 Receiver with Voltage Pre-Shift CTLE and 10-Tap DFE of Tap-1 Speculation in 7nm FinFET
Published in 2019 Symposium on VLSI Circuits (01-06-2019)“…A 56Gb/s PAM-4 wireline receiver testchip is demonstrated in 7nm FinFET. The equalization is achieved with four stages continuous time linear equalizer (CTLE)…”
Get full text
Conference Proceeding -
8
A 7-nm 4-GHz Arm^1-Core-Based CoWoS^1 Chiplet Design for High-Performance Computing
Published in IEEE journal of solid-state circuits (26-02-2020)“…We present a dual-chiplet interposer-based system-in-package (SiP) octo-core processor using Chip-on-Wafer-on-Substrate (CoWoS) technology. Each of the two…”
Get full text
Journal Article -
9
An On-Chip Current-Sink-Free Adaptive-Timing Power Impedance Measurement (PIM) Unit for 3D-IC in 5nm FinFET Technology
Published in 2024 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits) (16-06-2024)“…This work presents a power impedance measurement (PIM) architecture for the 3D-IC platform with high-performance computing (HPC) applications. Proposed…”
Get full text
Conference Proceeding -
10
A 0.296pJ/bit 17.9Tb/s/mm2 Die-to-Die Link in 5nm/6nm FinFET on a 9μm-Pitch 3D Package Achieving 10.24Tb/s Bandwidth at 16Gb/s PAM-4
Published in 2024 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits) (16-06-2024)“…This paper presents a die-to-die link with a compute die in 5nm FinFET and a SRAM die in 6nm FinFET, with a face-to-back 3D stacking at a 9μm bond pitch…”
Get full text
Conference Proceeding -
11
A 0.031mm2, 910fs, 0.5-4GHz injection type SOC PLL with 90dB built-in supply noise rejection in 10nm FinFET CMOS
Published in 2017 IEEE Custom Integrated Circuits Conference (CICC) (01-04-2017)“…This paper presents a low-jitter PLL with a wide operating range of 0.5~4GHz using proposed soft-injection technique. Its ring-type VCO with built-in PSRR of…”
Get full text
Conference Proceeding -
12
A 56-Gb/s Long-Reach Fully Adaptive Wireline PAM-4 Transceiver in 7-nm FinFET
Published in IEEE solid-state circuits letters (01-12-2019)“…This letter presents a 56-Gb/s PAM-4 transceiver which achieves a bit error rate of 2×10 -9 through -33 dB of channel insertion loss while consuming 500-mW…”
Get full text
Journal Article -
13
Wideband flexible-reach techniques for a 0.5-16.3Gb/s fully-adaptive transceiver in 20nm CMOS
Published in Proceedings of the IEEE 2014 Custom Integrated Circuits Conference (01-09-2014)“…This paper describes the design techniques to achieve wideband flexible-reach operation in a fully-adaptive transceiver embedded in a 20nm CMOS FPGA. The…”
Get full text
Conference Proceeding -
14
19.6 A 0.2V trifilar-coil DCO with DC-DC converter in 16nm FinFET CMOS with 188dB FOM, 1.3kHz resolution, and frequency pushing of 38MHz/V for energy harvesting applications
Published in 2017 IEEE International Solid-State Circuits Conference (ISSCC) (01-02-2017)“…Energy harvesting (EH) is a topic of intensive research promising battery-free operation of massive networks of wireless IoT devices. To simultaneously satisfy…”
Get full text
Conference Proceeding -
15
Design of high-speed wireline transceivers for backplane communications in 28nm CMOS
Published in Proceedings of the IEEE 2012 Custom Integrated Circuits Conference (01-09-2012)“…This paper describes the design of the architecture and circuit blocks for backplane communication transceivers. A channel study investigates the major…”
Get full text
Conference Proceeding -
16
A Cost-Effective On-Chip Power Impedance Measurement (PIM) System in 7nm FinFET for HPC Applications
Published in 2021 Symposium on VLSI Circuits (13-06-2021)“…This work shows a system for power delivery network (PDN) impedance measurements (PIM), targeting high-performance computing (HPC) applications. A delay-line…”
Get full text
Conference Proceeding -
17
A 56Gb/s Long Reach Fully Adaptive Wireline PAM-4 Transceiver in 7nm FinFET
Published in 2019 Symposium on VLSI Circuits (01-06-2019)“…This 56Gb/s PAM-4 transceiver leverages the high logic density provided by the 7nm FinFET technology through rigorous application of digital design styles: An…”
Get full text
Conference Proceeding -
18
A 387.6fs Integrated Jitter and -80dBc Reference Spurs Ring based PLL with Track- and-Hold Charge Pump and Automatic Loop Gain Control in 7nm FinFET CMOS
Published in 2019 Symposium on VLSI Circuits (01-06-2019)“…This paper presents a phase-locked loop that employs a track-and-hold charge pump and automatic loop gain control to enhance the jitter and spur performance…”
Get full text
Conference Proceeding -
19
A 4-to-18GHz Active Poly Phase Filter Quadrature Clock Generator with Phase Error Correction in 5nm CMOS
Published in 2020 IEEE Symposium on VLSI Circuits (01-06-2020)“…We present a high-accuracy wideband quadrature clock generator (QCG) built in 5nm finFET CMOS. To achieve low power and high bandwidth, we employ an active…”
Get full text
Conference Proceeding -
20
A Digital Bang-Bang Phase-Locked Loop with Background Injection Timing Calibration and Automatic Loop Gain Control in 7NM FinFET CMOS
Published in 2018 IEEE Symposium on VLSI Circuits (01-06-2018)“…This paper presents a digital bang-bang phase-locked loop that employs background injection timing calibration and automatic loop gain control to enhance the…”
Get full text
Conference Proceeding