Search Results - "Fletcher, Benjamin J."
-
1
Ecological correlates of seed desiccation tolerance in tropical African dryland trees
Published in American journal of botany (01-06-2004)“…In the tropics, species with recalcitrant or desiccation-sensitive, Type III seeds are largely restricted to regions with comparatively high rainfall, because…”
Get full text
Journal Article -
2
A Spike-Latency Transceiver With Tunable Pulse Control for Low-Energy Wireless 3-D Integration
Published in IEEE journal of solid-state circuits (01-09-2020)“…Wireless 3-D integration using inductive coupling links (ICLs) has recently gained attention as a low-cost alternative to through-silicon vias (TSVs) for…”
Get full text
Journal Article -
3
Design and Optimization of Inductive-Coupling Links for 3-D-ICs
Published in IEEE transactions on very large scale integration (VLSI) systems (01-03-2019)“…Recent research in the field of 3-D system integration has looked to the use of inductive-coupling links (ICLs) to provide vertical connectivity without…”
Get full text
Journal Article -
4
Contrasting synchrony in root and leaf phenology across multiple sub‐Arctic plant communities
Published in The Journal of ecology (2016)“…Roots account for > 50% of net primary production in many ecosystems and are widely accepted as playing a fundamental role in ecosystem carbon and nutrient…”
Get full text
Journal Article -
5
A potential loss of carbon associated with greater plant growth in the European Arctic
Published in Nature climate change (01-12-2012)“…Arctic warming is expected to lead to the colonization of tundra by trees, increasing plant biomass and potentially helping to offset atmospheric carbon…”
Get full text
Journal Article -
6
Leaf and fine root carbon stocks and turnover are coupled across Arctic ecosystems
Published in Global change biology (01-12-2013)“…Estimates of vegetation carbon pools and their turnover rates are central to understanding and modelling ecosystem responses to climate change and their…”
Get full text
Journal Article -
7
A 10.8pJ/bit Pulse-Position Inductive Transceiver for Low-Energy Wireless 3D Integration
Published in ESSCIRC 2019 - IEEE 45th European Solid State Circuits Conference (ESSCIRC) (01-09-2019)“…This paper presents a low-energy die-to-die inductive transceiver for use within a stacked 3D-IC. The design is implemented in a 2-tier 0.35um CMOS test chip…”
Get full text
Conference Proceeding -
8
Atmospheric carbon dioxide linked with Mesozoic and early Cenozoic climate change
Published in Nature geoscience (01-01-2008)“…The relationship between atmospheric carbon dioxide (CO2) and climate in the Quaternary period has been extensively investigated, but the role of CO2 in…”
Get full text
Journal Article -
9
Seasonal bryophyte productivity in the sub-Arctic: a comparison with vascular plants
Published in Functional ecology (01-04-2012)“…1. Arctic ecosystems are experiencing rapid climate change, which could result in positive feedbacks on climate warming if ecosystem carbon (C) loss exceeds C…”
Get full text
Journal Article -
10
Photosynthesis and productivity in heterogeneous arctic tundra: consequences for ecosystem function of mixing vegetation types at stand edges
Published in The Journal of ecology (01-03-2012)“…1. Arctic vegetation tends to be spatially heterogeneous and can have large areas of mixed ‘transition zone’ vegetation between stands dominated by a single or…”
Get full text
Journal Article -
11
Transition zones between vegetation patches in a heterogeneous Arctic landscape: how plant growth and photosynthesis change with abundance at small scales
Published in Oecologia (01-05-2010)“…Arctic vegetation is often highly heterogeneous, consisting of mosaics of vegetation patches. Vegetation transition zones at the boundaries between patches can…”
Get full text
Journal Article -
12
Fossil bryophytes as recorders of ancient CO2 levels: Experimental evidence and a Cretaceous case study
Published in Global biogeochemical cycles (01-09-2005)“…Biological and geochemical CO2 proxies provide critical constraints on understanding the role of atmospheric CO2 in driving climate change during Earth…”
Get full text
Journal Article -
13
A 3D-Stacked Cortex-M0 SoC with 20.3Gbps/mm2 7.1mW/mm2 Simultaneous Wireless Inter-Tier Data and Power Transfer
Published in 2020 IEEE Symposium on VLSI Circuits (01-06-2020)“…This paper presents a 2-tier 3D-stacked Cortex-M0 SoC, in 65nm CMOS technology, with wireless inter-tier power and data transfer through an inductively coupled…”
Get full text
Conference Proceeding -
14
CoDAPT: A Concurrent Data And Power Transceiver for Fully Wireless 3D-ICs
Published in 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE) (01-03-2019)“…Three dimensional system integration is a promising enabling technology for realising heterogeneous ICs, facilitating stacking of disparate elements such as…”
Get full text
Conference Proceeding -
15
A Low-Energy Inductive Transceiver using Spike-Latency Encoding for Wireless 3D Integration
Published in 2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED) (01-07-2019)“…Recently, the use of wireless (or contactless) 3D integration has been proposed as a low-cost method of stacking disparate processing and sensor dies into…”
Get full text
Conference Proceeding -
16
A high-speed design methodology for inductive coupling links in 3D-ICs
Published in 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE) (01-03-2018)“…Inductive coupling links (ICLs) are gaining traction as an alternative to through silicon vias (TSVs) for 3D integration, promising high-bandwidth connectivity…”
Get full text
Conference Proceeding -
17
Globally Wireless Locally Wired (GloWiLoW): A Clock Distribution Network for Many-Core Systems
Published in 2018 IEEE International Symposium on Circuits and Systems (ISCAS) (01-05-2018)“…Modern high-performance systems are now facing critical issues on delivering power-efficient and globally interconnected clock networks. Conventional…”
Get full text
Conference Proceeding -
18
Power neutral performance scaling for energy harvesting MP-SoCs
Published in Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017 (01-03-2017)“…Using energy `harvested' from the environment to power autonomous embedded systems is an attractive ideal, alleviating the burden of periodic battery…”
Get full text
Conference Proceeding -
19
Low-power 3D integration using inductive coupling links for neurotechnology applications
Published in 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE) (01-03-2018)“…Three dimensional system integration offers the ability to stack multiple dies, fabricated in disparate technologies, within a single IC. For this reason, it…”
Get full text
Conference Proceeding -
20
BRYOCARB: A process-based model of thallose liverwort carbon isotope fractionation in response to CO2, O2, light and temperature
Published in Geochimica et cosmochimica acta (01-12-2006)Get full text
Journal Article