Search Results - "Becer, M."
-
1
Crosstalk noise control in an SoC physical design flow
Published in IEEE transactions on computer-aided design of integrated circuits and systems (01-04-2004)“…Signal integrity closure is one of the key challenges in deep submicron physical design. In this paper, we propose a physical design methodology which includes…”
Get full text
Journal Article -
2
Cutis Laxa with Growth and Developmental Delay
Published in Clinical pediatrics (01-07-2001)Get full text
Journal Article -
3
Postroute gate sizing for crosstalk noise reduction
Published in IEEE transactions on computer-aided design of integrated circuits and systems (01-12-2004)“…Gate sizing is a practical and a feasible crosstalk noise correction technique in the post route design stage, especially for block level sea-of-gates designs…”
Get full text
Journal Article -
4
Early probabilistic noise estimation for capacitively coupled interconnects
Published in IEEE transactions on computer-aided design of integrated circuits and systems (01-03-2003)“…One of the critical challenges in today's high-performance IC design is to take noise into account as early as possible in the design cycle. Current noise…”
Get full text
Journal Article -
5
False-noise analysis for domino circuits
Published in Proceedings Design, Automation and Test in Europe Conference and Exhibition (2004)“…High-performance digital circuits are facing increasingly severe noise problems due to cross-coupled noise injection. Traditionally, noise analysis tools use…”
Get full text
Conference Proceeding -
6
Analysis of noise avoidance techniques in DSM interconnects using a complete crosstalk noise model
Published in Design, Automation, and Test in Europe: Proceedings of the conference on Design, automation and test in Europe; 04-08 Mar. 2002 (2002)“…Noise estimation and avoidance are becoming critical, 'must have' capabilities in today's high performance IC design. An accurate yet efficient crosstalk noise…”
Get full text
Conference Proceeding -
7
Gastric leiomyoblastoma: report of three cases
Published in International surgery (01-10-1998)“…Leiomyoblastoma is a rare smooth muscle tumor characterized by epithelioid cells with clear cytoplasms and an unknown biological behaviour. Since pre-operative…”
Get more information
Journal Article -
8
Delay noise pessimism reduction by logic correlations
Published in IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004 (07-11-2004)“…High-performance digital circuits are facing increasingly severe signal integrity problems due to crosstalk noise and therefore the state-of-the-art static…”
Get full text
Conference Proceeding -
9
Pessimism reduction in crosstalk noise aware STA
Published in ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005 (2005)“…High performance circuits are facing increasingly severe signal integrity problems due to crosstalk noise and crosstalk noise awareness has become an integral…”
Get full text
Conference Proceeding -
10
Noise propagation and failure criteria for VLSI designs
Published in Digest of technical papers - IEEE/ACM International Conference on Computer-Aided Design (10-11-2002)“…Noise analysis has become a critical concern in advanced chip designs. Traditional methods suffer from two common issues. First, noise that is propagated…”
Get full text
Conference Proceeding -
11
Transistor level gate modeling for accurate and fast timing, noise, and power analysis
Published in 2008 45th ACM/IEEE Design Automation Conference (08-06-2008)“…Current source based cell models are becoming a necessity for accurate timing and noise analysis at 65nm and below. Voltage waveform shapes are increasingly…”
Get full text
Conference Proceeding -
12
An analytical model for delay and crosstalk estimation in interconnects under general switching conditions
Published in ICECS 2000. 7th IEEE International Conference on Electronics, Circuits and Systems (Cat. No.00EX445) (2000)“…The impact of interconnect coupling, in the form of delay and crosstalk, in deep submicron integrated circuit design is increasing. In timing analysis, the…”
Get full text
Conference Proceeding -
13
Pessimism reduction in crosstalk noise aware STA
Published in International Conference on Computer Aided Design: Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design; 06-10 Nov. 2005 (31-05-2005)“…High performance circuits are facing increasingly severe signal integrity problems due to crosstalk noise and crosstalk noise awareness has become an integral…”
Get full text
Conference Proceeding -
14
SOI Transistor Model for Fast Transient Simulation
Published in International Conference on Computer Aided Design: Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design; 09-13 Nov. 2003 (09-11-2003)“…Progress in semiconductor process technology has made SOItransistors one of the most promising candidates for high performanceand low power designs. With…”
Get full text
Conference Proceeding -
15
Static electromigration analysis for signal interconnects
Published in Fourth International Symposium on Quality Electronic Design, 2003. Proceedings (2003)“…With the increase in current densities, electromigration has become a critical concern in high-performance designs. Typically, electromigration has involved…”
Get full text
Conference Proceeding -
16
Pre-route noise estimation in deep submicron integrated circuits
Published in Proceedings International Symposium on Quality Electronic Design (2002)“…One of the critical challenges in today's high performance IC design is to take noise into account as early as possible in the design cycle. Current noise…”
Get full text
Conference Proceeding -
17
Top-k aggressors sets in delay noise analysis
Published in 2007 44th ACM/IEEE Design Automation Conference (04-06-2007)“…We present, in this paper, novel algorithms to compute the set of "top-k" aggressors in a design. We show that the computation of the set of top-k aggressors…”
Get full text
Conference Proceeding -
18
Cerebro-oculo-facio-skeletal syndrome: report of two cases from Turkey with postmortem findings
Published in Turkish journal of pediatrics (01-07-2002)“…We describe two cases of COFS (cerebro-oculo-facio-skeletal) syndrome in two newborn females of consanguineous parents. The clinical, radiological and…”
Get full text
Journal Article -
19
Victim alignment in crosstalk aware timing analysis
Published in 2007 IEEE/ACM International Conference on Computer-Aided Design (01-11-2007)“…Modeling the effect of coupling noise on circuit delay is a key issue in static timing analysis (STA) and involves the "victim-aggressor alignment" problem. As…”
Get full text
Conference Proceeding -
20
An analytical model for delay and crosstalk estimation with application to decoupling
Published in Proceedings IEEE 2000 First International Symposium on Quality Electronic Design (Cat. No. PR00525) (2000)“…The impact of interconnect coupling, in the form of delay and crosstalk, in deep submicron integrated circuit design is increasing. In many cases, especially…”
Get full text
Conference Proceeding