Search Results - "Albonesi, D. H."
-
1
Dynamically tuning processor resources with adaptive processing
Published in Computer (Long Beach, Calif.) (01-12-2003)“…By using adaptive processing to dynamically tune major microprocessor resources, developers can achieve greater energy efficiency with reasonable hardware and…”
Get full text
Journal Article -
2
On-Chip Optical Interconnect Roadmap: Challenges and Critical Directions
Published in IEEE journal of selected topics in quantum electronics (01-11-2006)“…Intrachip optical interconnects (OIs) have the potential to outperform electrical wires and to ultimately solve the communication bottleneck in…”
Get full text
Journal Article -
3
Dynamically allocating processor resources between nearby and distant ILP
Published in Proceedings of the 28th annual international symposium on Computer architecture (01-01-2001)“…Modern superscalar processors use wide instruction issue widths and out-of-order execution in order to increase instruction-level parallelism (ILP). Because…”
Get full text
Conference Proceeding -
4
Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling
Published in Proceedings Eighth International Symposium on High Performance Computer Architecture (2002)“…As clock frequency increases and feature size decreases, clock distribution and wire delays present a growing challenge to the designers of singly-clocked,…”
Get full text
Conference Proceeding -
5
Dynamic frequency and voltage scaling for a multiple-clock-domain microprocessor
Published in IEEE MICRO (01-11-2003)“…Multiple clock domains is one solution to the increasing problem of propagating the clock signal across increasingly larger and faster chips. The ability to…”
Get full text
Journal Article -
6
Front-end policies for improved issue efficiency in SMT processors
Published in The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings (2003)“…The performance and power optimization of dynamic superscalar microprocessors requires striking a careful balance between exploiting parallelism and hardware…”
Get full text
Conference Proceeding -
7
A dynamically tunable memory hierarchy
Published in IEEE transactions on computers (01-10-2003)“…The widespread use of repeaters in long wires creates the possibility of dynamically sizing regular on-chip structures. We present a tunable cache and…”
Get full text
Journal Article -
8
Power-efficient error tolerance in chip multiprocessors
Published in IEEE MICRO (01-11-2005)“…The microprocessor industry is rapidly moving to the use of multicore chips as general-purpose processors. Whereas the current generation of chip…”
Get full text
Journal Article -
9
Integrating adaptive on-chip storage structures for reduced dynamic power
Published in Proceedings.International Conference on Parallel Architectures and Compilation Techniques (2002)“…Energy efficiency in microarchitectures has become a necessity. Significant dynamic energy savings can be realized for adaptive storage structures such as…”
Get full text
Conference Proceeding -
10
Dynamic data dependence tracking and its application to branch prediction
Published in The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings (2003)“…To continue to improve processor performance, microarchitects seek to increase the effective instruction level parallelism (ILP) that can be exploited in…”
Get full text
Conference Proceeding -
11
ReMAP: A Reconfigurable Heterogeneous Multicore Architecture
Published in 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture (01-12-2010)“…This paper presents ReMAP, a reconfigurable architecture geared towards accelerating and parallelizing applications within a heterogeneous CMP. In ReMAP,…”
Get full text
Conference Proceeding -
12
ReMAP: A Reconfigurable Architecture for Chip Multiprocessors
Published in IEEE MICRO (01-01-2011)“…ReMAP is a reconfigurable architecture for accelerating and parallelizing applications within a heterogeneous chip multiprocessor (CMP). Clusters of cores…”
Get full text
Journal Article -
13
A mean value analysis multiprocessor model incorporating superscalar processors and latency tolerating techniques
Published in International journal of parallel programming (01-06-1996)“…Several approximate mean value analysis shared memory multiprocessor models have been developed and used to evaluate a number of system architectures. In…”
Get full text
Conference Proceeding Journal Article -
14
On-Chip Optical Technology in Future Bus-Based Multicore Designs
Published in IEEE MICRO (01-01-2007)“…This work investigates the integration of CMOS-compatible optical technology to on-chip coherent buses for future CMPs. The analysis results in a hierarchical…”
Get full text
Journal Article -
15
Selective cache ways: on-demand cache resource allocation
Published in MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture (1999)“…Increasing levels of microprocessor power dissipation call for new approaches at the architectural level that save energy by better matching of on-chip…”
Get full text
Conference Proceeding Journal Article -
16
Runtime reconfiguration techniques for efficient general-purpose computation
Published in IEEE design & test of computers (01-01-2000)“…By exploiting hardware partitioning and applying runtime reconfiguration techniques, microprocessor efficiency is significantly improved while retaining high…”
Get full text
Journal Article -
17
Reducing the complexity of the register file in dynamic superscalar processors
Published in Proceedings of the annual International Symposium on Microarchitecture (2001)“…Dynamic superscalar processors execute multiple instructions out-of-order by looking for independent operations within a large window. The number of physical…”
Get full text
Conference Proceeding Journal Article -
18
-
19
Dynamically managing the communication-parallelism trade-off in future clustered processors
Published in Proceedings of the 30th annual international symposium on Computer architecture (01-05-2003)“…Clustered microarchitectures are an attractive alternative to large monolithic superscalar designs due to their potential for higher clock rates in the face of…”
Get full text
Conference Proceeding -
20
Dynamic frequency and voltage control for a multiple clock domain microarchitecture
Published in 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings (2002)“…We describe the design, analysis, and performance of an on-line algorithm to dynamically control the frequency/voltage of a Multiple Clock Domain (MCD)…”
Get full text
Conference Proceeding